Skip to main content

WARNING: This directory contains pdf/ps files of articles that may be covered by copyright. You may browse the articles at your convenience, in the same spirit as you may read a journal or a proceedings article in a public library. Retrieving, copying, or distributing these files may violate copyright protection laws.

You can find the latest updates of publications here in Google Scholar Profile!

2

Books

3

Book Chapters

3

Patents

15

Journals

36

Conferences


Books [2 Books]

[B2]

Hardware Security: A Look into the Future

Mark Tehranipoor, Kimia Zamiri Azar, Hadi Mardani Kamali, Navid Asadizanjani, Fahim Rahman, Farimah Farahmandi

Springer Nature, 2024.

2024

[B1]

Understanding Logic Locking

Kimia Zamiri Azar, Hadi Mardani Kamali, Farimah Farahmandi, Mark Tehranipoor

Springer Nature, 2023.

2023


Book Chapters [3 Book Chapters]

[BC3]

The Security Assurance of High Level Synthesis

Hadi Mardani Kamali, Farimah Farahmandi

Emerging Trends in Hardware Security, Springer Nature, 2024.

2024

[BC2]

The Prospect of IP Protection via Logic Locking

Hadi Mardani Kamali, Kimia Zamiri Azar

Future of IP Protection, Springer Nature, 2024.

2024

[BC1]

Sequential and Combinational Satisfiability Attacks

Kimia Zamiri Azar, Hadi Mardani Kamali, Avesta Sasan

Encyclopedia of Cryptography, Security and Privacy, Springer Nature, 2023.

2023


Patents [3 Patents]

[P3]

Clock Gating System and Method For Protecting Hardware Design

Mark Tehranipoor, Farimah Farahmandi, Hadi Mardani Kamali, Fahim Rahman, Mohammad Sazadur Rahman, Rui Guo

US Patent, TBD.

TBD

[P2]

Building And Redaction Of Universal Function Models For Hardware Protection

Mark Tehranipoor, Hadi Mardani Kamali, Fahim Rahman, Kimia Zamiri Azar, Farimah Farahmandi, Mohammad Sazadur Rahman, Rui Guo

US Patent, TBD.

TBD

[P1]

Runtime Security Monitoring of Hardware Designs

Mark Tehranipoor, Hadi Mardani Kamali, Farimah Farahmandi, Kimia Zamiri Azar, Tao Zhang

US Patent, TBD.

TBD


Journal Papers [14 Papers]

[J15]

Exploring the Abyss? Unveiling Systems-on-Chip Hardware Vulnerabilities beneath Software

Sree Ranjani Rajendran, Nusrat Farzana, Shams Tarek, Hadi Mardani Kamali,
Mark Tehranipoor, Farimah Farahmandi

IEEE Transactions on Information Forensics and Security (IEEE TIFS), 2023.

Abstract

2024

[J14]

Improving Bounded Model Checkers Scalability for Circuit De-obfuscation: An Exploration

Kimia Zamiri Azar, Hadi Mardani Kamali, Farimah Farahmandi, Mark Tehranipoor

IEEE Transactions on Information Forensics and Security (IEEE TIFS), 2023.

Abstract

2024

[J13]

SiPGuard: Run-time System-in-Package Security Monitoring via Power Noise Variation

Tao Zhang, Latifur Rahman, Hadi Mardani Kamali, Kimia Zamiri Azar, Farimah Farahmandi, Mark Tehranipoor

IEEE Transactions on Very Large Scale Integration (VLSI) Systems (IEEE TVLSI), 2023.

Abstract

2023

[J12]

Enabling Security Of Heterogeneous Integration: From Supply Chain To In-Field Operations

Md Sami Ul Islam Sami, Hadi Mardani Kamali, Farimah Farahmandi, Fahim Rahman, Mark Tehranipoor

IEEE Design and Test (IEEE D&T), 2023.

Abstract

2023

[J11]

ReTrustFSM: Towards RTL Hardware Obfuscation – A Hybrid FSM Approach

Mohammad Sazadur Rahman, Rui Guo, Hadi Mardani Kamali, Fahim Rahman, Farimah Farahmandi, Mark Tehranipoor

IEEE Access, 2023.

Abstract

2023

[J10]

HLock+: A Robust and Low-Overhead Logic Locking at the High-Level Language

Md Rafid Muttaki, Roshanak Mohammadivojdan, Hadi Mardani Kamali, Mark Tehranipoor, Farimah Farahmandi

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD), 2022.

Abstract

2023

[J9]

Advances in Logic Locking: Past, Present, and Prospects

Kimia Zamiri Azar, Hadi Mardani Kamali, Farimah Farahmandi, Mark Tehranipoor

IEEE Transactions on Computers (IEEE TC), 2022.

Abstract

2022

[J8]

From cryptography to logic locking: A survey on the architecture evolution of secure scan chains

Kimia Zamiri Azar, Hadi Mardani Kamali, Houman Homayoun, Avesta Sasan

IEEE Access, 2021.

Abstract

2021

[J7]

Deep graph learning for circuit deobfuscation

Zhiqian Chen, Lei Zhang, Gaurav Kolhe, Hadi Mardani Kamali, Setareh Rafatirad, Sai Manoj Pudukotai Dinakarrao, Houman Homayoun, Chang-Tien Lu, and Liang Zhao

Frontiers in big Data (Frontier), 2021.

Abstract

2021

[J6]

Data Flow Obfuscation: A New Paradigm for Obfuscating Circuits

Kimia Zamiri Azar, Hadi Mardani Kamali, Shervin Roshanisefat, Houman Homayoun, Christos P. Sotiriou, Avesta Sasan

IEEE Transactions on Very Large Scale Integration (VLSI) Systems (IEEE TVLSI), 2021.

Abstract

2021

[J5]

SAT-hard Cyclic Logic Obfuscation for Protecting the IP in the Manufacturing Supply Chain

Shervin Roshanisefat, Hadi Mardani Kamali, Houman Homayoun, Avesta Sasan

IEEE Transactions on Very Large Scale Integration (VLSI) Systems (IEEE TVLSI), 2020.

Abstract

2020

[J4]

SMT Attack: Next Generation Attack on Obfuscated Circuits with Capabilities and Performance Beyond The SAT Attacks

Kimia Zamiri Azar, Hadi Mardani Kamali, Houman Homayoun, Avesta Sasan

IACR Transactions on Cryptographic Hardware and Embedded Systems (IACR TCHES), 2019.

Abstract

2019

[J3]

Using Multi-Core HW/SW Co-design Architecture for Accelerating K-means Clustering Algorithm

Hadi Mardani Kamali

ACM Journal on Emerging Technologies in Computing Systems (ACM JETC), 2018.

Abstract

2018

[J2]

DuCNoC: A High-Throughput FPGA-Based NoC Simulator Using Dual-Clock Lightweight Router Micro-Architecture

Hadi Mardani Kamali, Kimia Zamiri Azar, Shaahin Hessabi

IEEE Transactions on Computers (IEEE TC), 2017.

Abstract

2017

[J1]

A Fault Tolerant Parallelism Approach for Implementing High-throughput Pipelined Advanced Encryption Standard

Hadi Mardani Kamali, Shaahin Hessabi

Journal of Circuits, Systems and Computers (JCSC), 2016.

Abstract

2016


Conference Papers [36 Papers]

[C36]

PQC-HI: PQC-enabled Chiplet Authentication and Key Exchange in Heterogeneous Integration

Md Sami Ul Islam Sami, Kimia Zamiri Azar, Hadi Mardani Kamali, Farimah Farahmandi, Mark Tehranipoor

IEEE 74th Electronic Components and Technology Conference (ECTC), 2024.

2024

[C35]

GEM-Water: Generation of EM-based Watermark for SoC IP Validation with Hidden FSMs

Pantha Sarker, Upoma Das, Mohammed Monjil, Hadi Mardani Kamali, Farimah Farahmandi, Mark Tehranipoor

International Symposium for Testing and Failure Analysis (ISTFA), 2023.

Abstract

2023

[C34]

SHI-Lock: Enabling Co-Obfuscation for Secure Heterogeneous Integration against RE and Cloning

Md Saad Ul Haque, Rui Guo, Mohammad Sazadur Rahman, Hadi Mardani Kamali, Farimah Farahmandi, Mark Tehranipoor

IEEE Conference on Physical Assurance and inspection of Electronics (PAINE), 2023.

Abstract

2023

[C33]

PALLET: Protecting Analog Devices using a Last-Level Edit Technique

Md Rafid Muttaki, Hadi Mardani Kamali, Mark Tehranipoor, Farimah Farahmandi

IEEE Conference on Physical Assurance and inspection of Electronics (PAINE), 2023.

Abstract

2023

[C32]

Iterative Mitigation of Insecure Resource Sharing Produced by High-level Synthesis

Zahin Ibnat, Hadi Mardani Kamali, Farimah Farahmandi

Int’l Symp. on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2023.

Abstract

2023

[C31]

Security of Hardware Generators: Enabling Assurance in High-Level Synthesis

Md Rafid Muttaki, Zahin Ibnat, Shang Shi, Hadi Mardani Kamali, Farimah Farahmandi

International Midwest Symposium on Circuits and Systems (MWSCAS), 2023.

Abstract

2023

[C30]

ActiWate: Adaptive and Design-agnostic Active Watermarking for IP Ownership in Modern SoCs

Zahin Ibnat, M Sazadur Rahman, Mridha Mashahedur Rahman, Hadi Mardani Kamali, Mark Tehranipoor, Farimah Farahmandi

Design Automation Conference (DAC), 2023

Abstract

2023

[C29]

Metrics-to-Methods: Decisive Reverse Engineering Metrics for Resilient Logic Locking

Mohammad Sazadur Rahman, Kimia Zamiri Azar, Farimah Farahmandi, Hadi Mardani Kamali

Great Lakes Symposium on VLSI (GLSVLSI), 2023.

Abstract

2023

[C27]

FISHI: Fault Injection Detection in Secure Heterogeneous Integration via Power Noise Variation

Tao Zhang, Hadi Mardani Kamali, Kimia Zamiri Azar, Mark Tehranipoor, Farimah Farahmandi

IEEE 73rd Electronic Components and Technology Conference (ECTC), 2023.

Abstract

2023

[C27]

HUnTer: Hardware Underneath Trigger for Exploiting SoC-level Vulnerabilities

Sree Ranjani Rajendran, Shams Tarek, Benjamin Myers Hicks, Hadi Mardani Kamali, Farimah Farahmandi, Mark Tehranipoor

Design, Automation and Test in Europe Conference (DATE Conference), 2023.

Abstract

2023

[C26]

RTLock: IP Protection using Scan-Aware Logic Locking at RTL

Md Rafid Muttaki, Shuvagata Saha, Hadi Mardani Kamali, Fahim Rahman, Mark Tehranipoor and Farimah Farahmandi

Design, Automation and Test in Europe Conference (DATE Conference), 2023.

Abstract

2023

[C25]

EvoLUTe: Evaluation of Look-Up-Table-based Fine-Grained IP Redaction

Rui Guo, M Sazadur Rahman, Hadi Mardani Kamali, Fahim Rahman, Farimah Farahmandi and Mark Tehranipoor

Design, Automation and Test in Europe Conference (DATE Conference), 2023.

Abstract

2023

[C24]

SheLL: Shrinking eFPGA Fabrics for Logic Locking

Hadi Mardani Kamali, Kimia Zamiri Azar, Farimah Farahmandi and Mark Tehranipoor

Design, Automation and Test in Europe Conference (DATE Conference), 2023.

Abstract

2023

[C23]

SecHLS: Enabling Security Awareness in High-Level Synthesis

Shang Shi, Nitin Pundir, Hadi Mardani Kamali, Mark Tehranipoor, Farimah Farahmandi

Asia and South Pacific Design Automation Conference (ASP-DAC), 2023.

Abstract

2023

[C22]

An ISA-based Software Snippet Generation for Exploiting Hardware Vulnerabilities

Sree Ranjani Rajendran, Shams Tarek, Hadi Mardani Kamali, Farimah Farahmandi

Government Microcircuit Applications & Critical Technology Conference (GoMACTech), 2023.

Abstract

2023

[C21]

O’Clock: Lock the Clock via Clock-gating for SoC IP Protection

M. Sazadur Rahman, Rui Guo, Hadi Mardani Kamali, Fahim Rahman, Farimah Farahmandi, Mohamed Abdel-Moneum, Mark Tehranipoor

Design Automation Conference (DAC), 2022

Abstract

2023

[C20]

Warm Up before Circuit De-obfuscation? An Exploration through Bounded-Model-Checkers

Kimia Zamiri Azar, Hadi Mardani Kamali, Farimah Farahmandi, Mark Tehranipoor

IEEE International Symposium on Hardware Oriented Security and Trust (HOST), 2022

Abstract

2022

[C19]

RANE: An Open-Source Formal De-obfuscation Attack for Reverse Engineering of Logic Encrypted Circuits

Shervin Roshanisefat, Hadi Mardani Kamali, Houman Homayoun, Avesta Sasan

Great Lakes Symposium on VLSI (GLSVLSI), 2021

Abstract

2021

[C18]

ChaoLock: Yet Another SAT-hard Logic Locking using Chaos Computing

Hadi Mardani Kamali, Kimia Zamiri Azar, Houman Homayoun, Avesta Sasan

International Symposium on Quality Electronic Design (ISQED), 2021.

Abstract

2021

[C17]

ExTru: A Lightweight, Fast, and Secure Expirable Trust for the Internet of Things

Hadi Mardani Kamali, Kimia Zamiri Azar, Shervin Roshanisefat, Ashkan Vakil, Houman Homayoun, Avesta Sasan

IEEE 14th Dallas Circuits and Systems Conference (IEEE DCAS), 2020.

Abstract

2020

[C16]

NNgSAT: Neural Network guided SAT Attack on Logic Locked Complex Structures

Kimia Zamiri Azar, Hadi Mardani Kamali, Houman Homayoun, Avesta Sasan

International Conference On Computer Aided Design (ICCAD), 2020.

Abstract

2020

[C15]

InterLock: An Intercorrelated Logic And Routing Locking

Hadi Mardani Kamali, Kimia Zamiri Azar, Houman Homayoun, Avesta Sasan

International Conference On Computer Aided Design (ICCAD), 2020.

Abstract

2020

[C14]

On Designing Secure and Robust Scan Chain for Protecting Obfuscated Logic

Hadi Mardani Kamali, Kimia Zamiri Azar, Houman Homayoun, Avesta Sasan

Great Lakes Symposium on VLSI (GLSVLSI), 2020.

Abstract

2020

[C13]

SCRAMBLE: The State, Connectivity and Routing Augmentation Model for Building Logic Encryption

Hadi Mardani Kamali, Kimia Zamiri Azar, Houman Homayoun, Avesta Sasan

IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2020.

Abstract

2020

[C12]

DFSSD: Deep Faults and Shallow State Duality, A Provably Strong Obfuscation Solution for Circuits with Restricted Access to Scan Chain

Shervin Roshanisefat, Hadi Mardani Kamali, Kimia Zamiri Azar, Sai Manoj Pudukotai Dinakarrao, Naghmeh Karimi, Houman Homayoun, Avesta Sasan

IEEE VLSI Test Symposium (VTS), 2020

Abstract

2020

[C11]

Security and Complexity Analysis of LUT-based Obfuscation: From Blueprint to Reality

Gaurav Kolhe, Hadi Mardani Kamali, Miklesh Naicker, Tyler David Sheaves, Setareh Rafatirad, Avesta Sasan, Sai Manoj Pudukotai Dinakarrao, Hamid Mahmoodi, Houman Homayoun

International Conference On Computer Aided Design (ICCAD), 2019.

Abstract

2019

[C10]

COMA: Communication and Obfuscation Management Architecture

Kimia Zamiri Azar, Farnoud Farahmand, Hadi Mardani Kamali, Shervin Roshanisefat, Houman Homayoun, William Diehl, Kris Gaj, Avesta Sasan

International Symposium on Research in Attacks, Intrusions and Defenses (RAID), 2019

Abstract

2019

[C9]

Muffin: Minimally-Buffered Zero-Delay Power-Gating Technique in On-Chip Routers

Hossein Farrokhbakht, Hadi Mardani Kamali, Natalie Enright Jerger

International Symposium on Low Power Electronics and Design (ISLPED), 2019

Abstract

2019

[C8]

Full-Lock: Hard Distributions of SAT instances for Obfuscating Circuits using Fully Configurable Logic and Routing Blocks

Hadi Mardani Kamali, Kimia Zamiri Azar, Houman Homayoun, Avesta Sasan

Design Automation Conference (DAC), 2019

Abstract

2019

[C7]

Threats on Logic Locking: A Decade Later

Kimia Zamiri Azar, Hadi Mardani Kamali, Houman Homayoun, Avesta Sasan

Great Lakes Symposium on VLSI (GLSVLSI), 2019.

Abstract

2019

[C6]

LUT-Lock: A Novel LUT-Based Logic Obfuscation for FPGA-Bitstream and ASIC-Hardware Protection

Hadi Mardani Kamali, Kimia Zamiri Azar, Kris Gaj, Houman Homayoun, Avesta Sasan

IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2018.

Abstract

2018

[C5]

SPONGE: A Scalable Pivot-based On/Off Gating Engine for Reducing Static Power in NoC Routers

Hossein Farrokhbakht, Hadi Mardani Kamali, Natalie Enright Jerger, Shaahin Hessabi

International Symposium on Low Power Electronics and Design (ISLPED), 2018.

Abstract

2018

[C4]

SRCLock: SAT-Resistant Cyclic Logic Locking for Protecting the Hardware

Shervin Roshanisefat, Hadi Mardani Kamali, Avesta Sasan

Great Lakes Symposium on VLSI (GLSVLSI), 2018.

Abstract

2018

[C3]

MUCH-SWIFT: A High-Throughput Multi-Core HW/SW Co-design K-means Clustering Architecture

Hadi Mardani Kamali, Avesta Sasan

Great Lakes Symposium on VLSI (GLSVLSI), 2018.

Abstract

2018

[C2]

SMART: A Scalable Mapping And Routing Technique for Power-Gating in NoC Routers

Hossein Farrokhbakht, Hadi Mardani Kamali, Shaahin Hessabi

IEEE/ACM International Symposium on Networks-on-Chip (NOCS), 2017.

Abstract

2017

[C1]

AdapNoC: A Fast and Flexible FPGA-based NoC Simulator

Hadi Mardani Kamali, Shaahin Hessabi

International Conference on Field Programmable Logic and Applications (FPL), 2016.

Abstract

2016